background image

电梯控制器 VHDL

 

程序

-- 文件名: dianti.vhd 。

-- 功能: 6 层楼的电梯控制系统。

-- 最后修改日期: 2004.4.12 。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;